New-Tech Europe Magazine | Sep 2019 | Digital Edition

EUV lithography: weighing the options for future logic and memory applications Stefan Decoster & Murat PAK , Imec

Imec takes a great leap forward in understanding and pushing the limits of extreme ultraviolet lithography – part I In 2019, EUV lithography (EUVL) will reach an important milestone. After many years of waiting for implementation, the advanced lithography technique is finally moving into high-volume manufacturing. First insertion of EUVL is planned for printing the most critical metal layers (and vias) of the N7 (i.e., imec N8 or foundry N7) logic back-end-of-line (BEOL). At the same time, research centers are exploring the options for future technology nodes, which will gradually incorporate more EUVL printed structures. In a first part of this article, Stefan Decoster, R&D dry etch engineer at imec, compares different (multi-)patterning approaches for the N3 and beyond technology nodes, and highlights their pros and cons.

More than in the past, researchers are now also considering EUVL as an option for patterning critical memory structures, such as the pillars for dense dynamic random access memory (DRAM) applications. Another example is the introduction of EUVL in the fabrication process of the spin-transfer- torque magnetic random access memory (STT-MRAM). In a second part of the article, Murat Pak, R&D engineer at imec presents several approaches for patterning the STT-MRAM key building block. Introducing EUVL multi- patterning in the back-end- of-line This year, some of the major foundries will for the first time use EUVL in their high-volume manufacturing line for processing N7 (i.e., imec N8 or foundry N7) chips for logic applications. They will insert EUVL into the most critical metal

layers of the BEOL (referred to as the local M0 to M3 layers), and in the vias that interconnect these metal layers. In these layers, lines and trenches have pitches of the order of 36-40nm. The trenches are complemented with block layers perpendicular to the trenches, in order to create disconnections in the continuous trenches. The next technology node N5 (or imec N7) focuses on pitches between 32 and 28nm. “In 2017, we already demonstrated that these 32nm pitch lines can be patterned directly with EUVL in one single exposure,” adds Stefan Decoster. “Alternatively, a hybrid option can be used in which 193nm immersion lithography based self-aligned quadrupole patterning (SAQP) is combined with a direct EUV print of the block layer.” SAQP is a multi-patterning variant that relies on one lithography step (to create a pre-pattern of lines) and additional deposition and etch

22 l New-Tech Magazine Europe

Made with FlippingBook flipbook maker